1
 
================================================================================
 
                       SECURITIES AND EXCHANGE COMMISSION
                             Washington,WASHINGTON, D.C. 20549
                            ------------------------
 
                                   FORM 10-K
 
(Mark One)(MARK ONE)
 
   [X]   ANNUAL REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE
       SECURITIES EXCHANGE ACT OF 1934
 
                    [FEE REQUIRED]
      FOR THE FISCAL YEAR ENDED JUNE 30, 19961997
 
                                       OR
 
   [ ]   TRANSITION REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE
       SECURITIES EXCHANGE ACT OF 1934
 
      [NO FEE REQUIRED]

          FOR THE TRANSITION PERIOD FROM ________________ TO ________________
 
                           COMMISSION FILE NO. 0-9992
                            KLA INSTRUMENTS------------------------
 
                             KLA-TENCOR CORPORATION
             (EXACT NAME OF REGISTRANT AS SPECIFIED IN ITS CHARTER)
 
DELAWARE                                          04-2564110
          (STATE OR OTHER JURISDICTION OF                           (I.R.S. EMPLOYER
          INCORPORATION OR ORGANIZATION)                           IDENTIFICATION NO.)
 
        160 RIO ROBLES SAN JOSE, CALIFORNIA                               95134
                    SAN JOSE, CALIFORNIA                 (ZIP CODE)
     (ADDRESS OF PRINCIPAL EXECUTIVE OFFICES)                          (ZIP CODE)
REGISTRANT'S TELEPHONE NUMBER, INCLUDING AREA CODE: (408) 468-4200875-4200 SECURITIES REGISTERED PURSUANT TO SECTION 12(b)12(B) OF THE ACT:
TITLE OF EACH CLASS NAME OF EACH EXCHANGE ON WHICH REGISTERED - ------------------------------------------------------------------------------------------------------ NONE NONE
------------------------ SECURITIES REGISTERED PURSUANT TO SECTION 12(g)12(G) OF THE ACT: COMMON STOCK, $0.001 PAR VALUE COMMON STOCK PURCHASE RIGHTS (TITLE OF CLASS) ------------------------ Indicate by check mark whether the Registrant:registrant: (1) has filed all reports required to be filed by Section 13 or 15(d) of the Securities Exchange Act of 1934 during the preceding 12 months (or for such shorter period that the Registrantregistrant was required to file such reports), and (2) has been subject to such filing requirements for the past 90 days. Yes X[X] No --- ---[ ] Indicate by check mark if disclosure of delinquent filers pursuant to Item 405 of Regulation S-K is not contained herein, and will not be contained, to the best of registrant's knowledge, in definitive proxy or information statements incorporated by reference in Part III of this Form 10-K or any amendment to this Form 10-K. [ ] The aggregate market value of the voting stock held by non-affiliates of the Registrantregistrant based upon the average bid and asked pricesclosing price of the registrant's stock, as of August 31, 1996,1997, was $761,763,320.$5,095,010,757. Shares of common stock held by each officer and director and by each person or group who owns 5% or more of the outstanding common stock have been excluded in that such persons or groups may be deemed to be affiliates. This determination of affiliate status is not necessarily a conclusive determination for other purposes. The registrant had 51,050,00584,318,173 shares of Common Stock outstanding as of August 31, 1996. 21997. DOCUMENTS INCORPORATED BY REFERENCE Portions of the Annual Report to Stockholders for the fiscal year ended June 30, 19961997 ("19961997 Annual Report to Stockholders" ) are incorporated by reference into Parts I, II and IV of this Report. Portions of the Proxy Statement for the Annual Meeting of Stockholders ("Proxy Statement" ) to be held on November 18, 1996,1997, and to be filed pursuant to Regulation 14A within 120 days after registrant's fiscal year ended June 30, 1996,1997, are incorporated by reference into Part III of this Report. ================================================================================ 2 PART I ITEM 1. DESCRIPTION OF BUSINESS THE COMPANY AND ITS PRODUCTSMerger. Effective April 30, 1997, Tencor Instruments ("Tencor") merged into a wholly-owned subsidiary of KLA Instruments Corporation ("KLA"). Following the Merger, KLA changed its name to KLA-Tencor Corporation (the "Company"). In the Merger, shares and options for Tencor common stock were exchanged on a one-for-one basis for the common stock of KLA. The transaction was accounted for as a pooling of interests for financial reporting purposes and structured to qualify as a tax-free reorganization. The stockholders of each of KLA and Tencor approved the transaction and the transaction was effective April 30, 1997. The Merger brought together two companies with largely complementary product lines which provide customers with yield management solutions and process monitoring products throughout the semiconductor manufacturing process. As the complexity of the sub-micron semiconductor manufacturing process increases, the need for more, and more effective, process monitors also increases. Quickly attaining and then maintaining high yields is one of the most important determinants of profitability in the semiconductor industry. The importance of high yields from the manufacturing process has grown dramatically as wafer sizes increase and process geometries decrease. Total yield management solutions have taken on a significance which has not been experienced in the past. The Company, as a result of the Merger, is in a unique position to be the single source for total yield management solutions with a portfolio of applications-focused technologies and dedicated yield consulting expertise. General. The Company was incorporated in Delaware in July 1975. The Company'sIts headquarters are located at 160 Rio Robles, San Jose, California, 95134, telephone (408) 468-4200. Unless the text requires otherwise, the "Company" or "KLA" refers to KLA Instruments Corporation and its subsidiaries. KLA875-4200. The Company is the leader in the design, manufacture, marketing and service of yield management and process monitoring systems for the semiconductor industry. KLA believes that it isThe Company uses its technical expertise and understanding of customer needs to supply unique yield management solutions and one of the world's largest supplier to thebroadest lines of wafer inspection, thin film measurement, metrology and reticle inspection and optical metrology equipment markets. KLA'ssystems available in the semiconductor industry. The Company's systems are used to analyze product and process quality at critical steps in the manufacture ofmanufacturing process for integrated circuits and to provide feedback soto our customers in order that fabrication problems can be identified, addressed and contained. This understanding of defect sources and how to contain them enables semiconductor manufacturers to increase yields. Quickly attainingSemiconductor fabrication facilities are increasingly expensive to build and then maintaining high yields is oneequip. Yield management and process monitoring systems, which typically represent a small percentage of the most important determinants of profitability intotal investment required to build and equip a fabrication facility, enable integrated circuit manufacturers to leverage these expensive facilities and improve their returns on investment. The Company's principal market is the semiconductor industry. The Company believes that its customers typically experience rapid paybacks on their investments in the Company's systems. The Company sellsindustry, marketing and selling products worldwide to virtually all of the world'smajor semiconductor manufacturersmanufacturers. The Company's revenues are derived primarily from product sales, principally through its direct sales force, and has achieved very high market shares in its principal businesses.to a lesser extent, through distributors. The Company's technological strength has enabled it to develop and introduce major new product families in each of its business units during the past four years for the following three business units: WISARD, which addresses semiconductor wafer inspection; RAPID, which addresses reticle inspection; and Metrology, which addresses overlay registration and linewidth measurement. The Company believes that its WISARD and RAPID product families incorporate proprietary technologies which provide greater sensitivity to defects than any competing systems.last year. YIELD MANAGEMENT SOLUTIONS Maximizing yields, or the number of good die per wafer, is a key goal of modern semiconductor manufacturing. Higher yields increase the revenue a manufacturer can obtain for each semiconductor wafer processed. As geometry linewidths decrease, yields become more sensitive to the size and density of defects. Semiconductor manufacturers use yield management and process monitoring systems to improve yields by identifying defects, by analyzing them to determine process problems, and, after corrective action has been taken, by monitoring subsequent results to ensure that the problem has been contained. Monitoring and analysis often takes place at various points in the fabrication process as wafers move through a production cycle consisting of hundreds of separate process steps. Semiconductor factories are increasingly expensive to build and equip. Yield management and process monitoring systems, which typically represent a small percentage of the total investment required to build and equip a fabrication facility, enable integrated circuit manufacturers to leverage these expensive facilities and improve their returns on investment. The most significant opportunities for yield improvement generally occur when production is started at new factories and when new products are first built. Equipment that helps a manufacturer quickly increase new product yields enables the manufacturer to offer these new products in volume at a time when they are likely to generate the greatest profits. 2 3 The following are some of the methods used to manage yield, all of which require the capture and analysis of data gathered through many measurements: - 3 - Engineering analysis is performed off the manufacturing line to identify and analyze defect sources. Engineering analysis equipment operates with very high sensitivity to enable comprehensive analysis of wafers. Because they operate off-line, engineering analysis systems do not require high speeds of operation. - - In-line monitoring is used to review the status of circuits during production steps. Information generated is used to determine whether the fabrication process steps are within required tolerances and to make any necessary process adjustments in real-time before wafer lots move to subsequent process stations. Because the information is needed quickly to be of greatest value, in-line monitoring requires both high throughput and high sensitivity. - - Pass/fail tests are used at several steps in the manufacturing process to evaluate products. For example, a pass/fail test is used to determine whether reticles used in photolithography are defect-free; electrical pass/fail testing is performed at the end of the manufacturing process to determine whether products meet performance specifications. YIELD MANAGEMENT AND PROCESS MONITORING SYSTEMS KLA's systemsThe most significant opportunities for yield improvement generally occur when production is started at new factories and when new products are developedfirst built. Equipment that helps a manufacturer quickly increase new product yields enables the manufacturer to offer customersthese new products in volume at a time when they are likely to generate the greatest profits. WAFER INSPECTION SYSTEMS The Company created the market for automated inspection of semiconductor wafers over 12 years ago. The wafer inspection group product offerings include unpatterned wafer inspection and patterned wafer inspection tools which are used to find, count and characterize particles and pattern defects on wafers both in engineering applications and in-line at various stages during the semiconductor and wafer manufacturing processes. Semiconductor manufacturers use wafer inspection systems to monitor their manufacturing processes and to refine those processes to increase the yield of acceptable integrated circuits. Accordingly, semiconductor manufacturers base their purchase of wafer inspection systems on a variety of criteria, including sensitivity, throughput, total cost of ownership, ease of use, degree of automation, system repeatability and correlation and its ability to be integrated into overall yield management systems. The Company offers two primary product families in the wafer inspection area. In 1992, the Company introduced the 2130 inspection required for microprocessors and other logic devices as well as both the logic and repeating array portions of memory devices. The 2130 was subsequently upgraded with each new model having greater sensitivity and greater maximum speed compared to its predecessor. The 2135 was introduced in 1996 with twice the throughput and higher sensitivity compared to its predecessor. In 1997, the Company introduced the 2138, a new patterned wafer inspection system specifically designed to address chemical mechanical planarization (CMP) and other demanding inspection applications. The 2138 is based on the 2135 inspection platform and combines an ultra- broadband illumination source and significantly improved bright field optics with Segmented Auto Thresholding. This combination significantly increases defect sensitivity and capture, while reducing or eliminating false defect counts in semiconductor processes. The 2138 extends the Company's full line of intelligent in-line monitoring solutions. The Company's Surfscan(R) family of laser-scanning products are widely used for wafer qualification, process monitoring and equipment monitoring. They provide the high sensitivity, fast throughput and low cost of ownership required in a production environment and are used in virtually all semiconductor manufacturing processes. Surfscans are key components of the defect reduction strategies of many leading semiconductor manufacturers. The systems use a standardized file format that allow defect location data to be easily transferred to off-line review stations for defect classification. The latest patterned Surfscan, the Surfscan AIT, is the cost/performance leader for in-line monitoring of deposited films and CMP layers. The Surfscan AIT and the 2138 are part of the Company's Intelligent Line Monitoring solution, which includes the full line of patterned wafer inspection systems, as well as the IMPACT/Online, ADC, CRS/Offline ADC and Quest defect data analysis systems. This integrated yield management solutions. KLA offersapproach provides semiconductor device manufacturers with a comprehensive tool set which enables the acceleration of time-to-yield enhancements and yield goals. 2 4 The SP1 is the Company's first system to address the unique unpatterned inspection requirements of 300mm wafers. It performs rapid, highly sensitive inspection of unpatterned 300mm wafers, providing capabilities critical to wafer and equipment manufacturers who are developing products for emerging 0.25 micron process technologies and below. It combines a stationary illumination beam, uniform axi-symmetric collection optics and an optional bright field channel with a rotating wafer scheme and allows detection of surface defects and contaminants at speeds of 100 wafers per hour on 300mm wafers, and 150 wafers per hour on 200mm wafers. The Surfscan 6420 detects submicron defects on metal films and rough surfaces but still provides sensitivity down to 0.1 micron on polished silicon. It is effective for detecting defects on non-uniform films, a critical requirement for CMP applications. As feature sizes of semiconductor circuits continue to decrease for leading edge semiconductor products, the Company believes that conventional optical technologies ultimately will begin to reach physical limits imposed by the wavelength of light and fail to provide the necessary inspection resolution. Working closely with those customers with the most advanced inspection requirements, the Company has developed the SemSPEC, the industry's only fully automatic electron beam inspection system. This system, comprised of the industry's fastest scanning electron-optical column and a high speed image computer, are used for wafer and x-ray mask inspection. The development of these systems was funded in part by customer-sponsored research and development programs. The Company expects the market for these inspection systems for key steps in the semiconductor manufacturing process andto emerge slowly. The Company offers analysis systems comprised of database management hardware and software to translate raw inspection data into patterns which reveal process problems. The Company's wafer inspection and metrology systems are used for engineering analysis and in-line monitoring, and its reticle inspection systems are used for pass/fail tests. The Company's software productivity and analysis systems collect, store and analyze data collected by test equipment manufactured by both the Company and others to provide semiconductor manufacturers with an integrated yield management application. The software systems identify data sources, show defect trends and help semiconductor manufacturers develop long-term yield improvement strategies. In 1997, the Company introduced IMPACT, its automated defect classification (ADC) tool, which will enable semiconductor manufacturers to match automated defect classification schemes both within and between fabrication facilities to accelerate the ramp to higher process yields. With ADC matching, semiconductor manufacturers can develop a defect classification recipe on one system and then export it to any other system or fabrication facility running identical processes. The Company has an OEM agreement with Uniphase Corporation to sell Uniphase Corporation's confocal review station (CRS) ADC. The CRS interfaces with the Company's principalinspection systems to collect, store and analyze defect data generated by the Surfscan systems. The PRISM group, formed in April 1994 to address the market for software products that can be utilized in semiconductor fabrication applications for yield management and productivity improvement, has developed and is marketing two software product lines - Discovery and CIMA. Discovery is an enterprise-wide yield management system that collects, stores and correlates yield information from multiple data sources in a fabrication facility. This product was the result of a cooperative development project with Motorola. CIMA is a test floor automation product that collects test data from, and automates the operation of, the wafer floor. OPTICAL METROLOGY Lithography for sub-micron semiconductor fabrication requires increasingly stringent overlay and critical dimension tolerances. In particular, decreasing linewidths, larger die sizes, and additional layers have made overlay mis-registration errors a crucial cause of yield loss. To address these challenges, the Company offers the 5000 series metrology systems: the 5100 for overlay, and the 5105 for both overlay and critical dimension measurement. In 1996, the Company introduced the 5200 overlay system, which has performance and usability enhancements compared to the 5100. The Company, utilizing its expertise in digital image processing, has developed sophisticated measurement algorithms that are more tolerant of process variations. Using coherence probe microscopy, the system scans the image-forming coherence region through the wafer plane, only gathering information from in-focus surfaces. As a result, measurements are more tolerant of process and substrate reflectivity variations than those from ordinary optical systems. The precision measurements from the 5000 series identify the magnitude and direction of overlay mis-registration errors arising from the stepping process and from optical distortion inherent in the stepper lens. Based upon these measurements, 3 5 users can fine-tune the stepper program to compensate for these errors, and improve process yield. E-BEAM METROLOGY The Company broadened its portfolio of metrology products in December 1994 with the acquisition of Metrologix, Inc., a manufacturer of advanced electron beam measurement equipment. With this acquisition, the Company's E-Beam metrology business units are: Wafer Inspection Systems (WISARD); Reticle Inspection Systems (RAPID); Metrology, including Optical Metrology and E-Beam Metrology; Software Productivity and Analysis Systems (PRISM);gained an established position in the Critical Dimension Scanning Electron Microscope Inspection Systems (SEMSpec);(CD-SEM) inspection market, a market which the Company believes is larger than the optical overlay market, and ATS Watcher Division (ATS). WISARD-WAFER INSPECTION SYSTEMS KLA's WISARD business unit createdone which it believes will grow as semiconductor manufacturers continue to produce more complex semiconductor devices. The Company's first generation E-Beam metrology system features high throughput and automated setup. One major U.S. memory manufacturer and two major U.S. microprocessor manufacturers have purchased multiple systems for use in both production and research and development. The Company has made substantial investments in engineering and manufacturing to bring to market the market for automated inspectionnext-generation tool, the 8100-R CD-SEM. Production shipments of semiconductor wafers withthis product began in June 1996. FILM MEASUREMENT The Company's film measurement division produces both film thickness and resistivity measurement tools. The film thickness products are used to measure a variety of optical properties of thin films, while the introductionresistivity products measure the resistivity of the KLA 2000 seriesvarious layers used to make integrated circuits. These products are used to control a wide range of wafer fabrication steps, where within-wafer and wafer-to-wafer uniformity of the process is of paramount importance to semiconductor manufacturers achieving high yields at the lowest possible cost. The Company has been a leader in the thin film market since entering it over eleven12 years ago. KLA continuesIn 1995, the Company introduced the UV-1250SE, which brought a powerful new technology, spectroscopic ellipsometry, to have a predominant market shareproduction. Continuing innovations resulted in the UV-1280SE with its current generation of wafer inspection systems, the 2100 series. The 2100 series of inspection systems offers an increase in inspection speed of up to 2,000 times over that of KLA's original wafer inspection system. This marked increase in speed and sensitivity allows customers to obtain very prompt feedback on process status by placing wafer inspection systems on the production line. The selectionone of the technology architecture formost robust measurement capabilities in the 2100 series was madeindustry. Thin film systems are used throughout the manufacturing facility which creates significant challenges in measurement flexibility, recipe management and factory floor computer automation. The Company's UV product line, which has an installed base of over 500 systems has addressed these requirements by delivering powerful measurement engines in reliable, easy to allowuse system designs. The systems also incorporate software which enables extensive use of host computer operation to control the base unit to support a family of products capable of performance enhancements through upgrades of various subsystems. The first model,equipment, analyze the KLA 2110, was introduced in 1991 with sufficient speeddata and sensitivity to enable in-line inspection of repeating arrays typical in memory devices. Since then, KLA has introduced three new repeating array models in succession, the 2111, 2112 and 2115. Each new model has had greater sensitivity and greater maximum speed compared to its predecessor. The 2115 was introduced in 1996 with twice the throughput and higher sensitivity comparedfeedback to the 2112. In 1992, KLA introducedprocess equipment, all steps which are critical for effective process control and maintaining high yields. The Company's resistivity products have lead their markets since the KLA 2130 whichCompany first entered this market in 1983. The high end product, the RS75te, is capableused today in diffusion, implant and metal deposition for equipment monitoring and control. SURFACE METROLOGY Stylus profilers are used to measure the surface topography of "all pattern" inspection required for microprocessorsfilms and other logic devicesetched surfaces and are used in basic research and development as well as bothproduction and quality control areas. In addition, the logicCompany produces stress measurement systems which detect reliability related problems such as film cracking, voiding and repeating array portions of memory devices. Since then, KLA has introduced three new all pattern inspection models in succession, the 2131, 2132 and 2135. Each new model has had greater sensitivity and greater maximum speed compared to its predecessor. The 2135 was introduced in 1996 with twice the throughput and higher sensitivity compared to the 2132.lifting. The Company believes that there are further opportunitiesrecently introduced a new high-resolution profiler (HRP) product which significantly increased the potential applications for surface profilers. The HRP-200 is the first metrology system to expandoffer the 2100 seriescombined monitoring capability traditionally achieved by two different instruments, an in-line profiler for measuring wide spatial problems such as dishing and has several new models under development. 3 4 RAPID-RETICLEerosion and the off-line atomic force microscope for the nanoscale problem of plug recess. The data storage industry is an emerging market for the Company's metrology systems. Recent achievements in utilization efficiencies in this industry have increased the need to monitor surface topography. RETICLE INSPECTION SYSTEMS RAPID, KLA'sthe Company's first business unit, created the market for automated inspection of reticles and photomasks 4 6 for the semiconductor manufacturing industry over 1819 years ago and continues to have a predominant market share. KLAshare of this market. The Company has delivered over 750800 reticle and photomask inspection systems worldwide. During photolithography, a stepper projects a circuit pattern from a reticle onto a wafer. Error-free reticles are the first step in ensuring high yields in the manufacturing process because defects in reticles can translate into millions of ruined die. In 1992, KLA introduced a new generation of reticle inspection systems, the 300 series. The KLA 301 Reticle Inspection System and the KLA 50 Reference Data Computer together form the KLACompany's 351 Inspection System, which represents a major advance in speed, sensitivity and flexibility. The KLA 351 offers the highest inspection sensitivity available in the marketplace, which the Company believes is vital to meet reticle inspection requirements for today's more complex microprocessors and larger DRAMs. This dedicated image processor employs a flexible system architecture which permits future upgrades and enhancements through software, rather than hardware changes. Furthermore, the KLA 351's optics include a rotating telescope turret to provide three sensitivities in one system. The KLA 351 offers flexibility for users who need a versatile inspection system to address the inspection needs of both the most demanding and the more routine semiconductor manufacturing processes. Users may obtain higher throughput by selecting lower sensitivity inspections. The KLA 351product incorporates a reference database generator and data preparation system which gives full die-to-database functionality to the inspection, permitting inspection against the ideal reticle pattern as specified by the user's CAD program. The Company is continuing to develop enhancements to the KLA 351 inspection system to improve performance, serviceability and reliability. In 1995, the Company introduced a new reticle inspection product, STARlight, which uses reflected and transmitted light detection techniques simultaneously to identify reticle contaminants, including particles. STARlight permits users to identify defects which previously had not been detectable. The Company believes STARlight will be appliedused by mask manufacturers and semiconductor manufacturers. STARlight is offered as an option on the KLA 351 inspection system and as a stand-alone unit. METROLOGY GROUP Optical Metrology Business Unit. Lithography for sub-micron semiconductor fabrication requires increasingly stringent overlayIn 1997, the Company introduced two new reticle and critical dimension tolerances. In particular, decreasing linewidths, larger die sizes, and additional layers have made overlay mis-registration errors a crucial cause of yield loss. To address these challenges, KLA offersphotomask inspection enhancements, the KLA 5000 series metrology systems: the 5100 for overlay;Advanced Performance Algorithm (APA) and the 5105 for both overlaySTARlight High Resolution option. These enhancements enable highly accurate and critical dimension measurement. In June 1996, KLA introduced the 5200 overlay system, which has performance and usability enhancements compared to the 5100. KLA estimates that during fiscal 1994, 1995 and 1996, it had the leading share in the worldwide market for overlay registration systems.reliable inspection of next-generation 0.25 micron reticles, including reticles with complex optical proximity correction geometries. CUSTOMERS The KLA 5000 series uses a patented coherence probe microscopy technology which permits fast autofocus and precision critical dimension measurements. Applying its expertise in digital image processing, KLA has developed sophisticated measurement algorithms that are more tolerant of process variations. With coherence probe microscopy, the system scans the image-forming coherence region through the wafer plane, only gathering information from in-focus surfaces. As a result, measurements are more tolerant of process and substrate reflectivity variations than those from ordinary optical systems. The precision measurements from the KLA 5000 series identify the magnitude and direction of overlay mis-registration errors arising from the stepping process and from optical distortion inherent in the stepper lens. Based upon these measurements, users can fine-tune the stepper program to compensate for these errors, and improve process yield. The disk drive manufacturing industry is an emerging market for KLA's metrology systems. Disk drive manufacturers use a semiconductor photolithography process to produce thin film heads. The Company's coherence probe technology is particularly well-suited to handle the complex topography characteristics encountered in the thin film head process. The Company believes that its solution to these requirements has allowed it to achieve the major share of the thin film head metrology market. 4 5 E-Beam Metrology Business Unit. KLA broadened its portfolio of metrology products in December 1994 with the acquisition of Metrologix, Inc., a manufacturer of advanced electron beam measurement equipment. With this acquisition, KLA's E-Beam Metrology business gained an established position in the CD SEM inspection market, a market which KLA believes is larger than the optical overlay market, and one which it believes will grow as semiconductor manufacturers continue to produce more complex semiconductor devices. KLA's first generation E-Beam metrology system features high throughput and automated setup. One major U.S. memory manufacturer and two major U.S. microprocessor manufacturers have purchased multiple systems for use in both production and research and development. The Company has made substantial investments in engineering and manufacturing to bring to market the next-generation tool, the KLA 8100. Production shipments of this product began in June 1996. PRISM-SOFTWARE PRODUCTIVITY AND ANALYSIS SYSTEMS The PRISM division was formed in April 1994 to address the market for software products that can be utilized in semiconductor fabrication applications for yield management and productivity improvement. The PRISM division is developing and marketing two software product lines, Discovery and CIMA. Discovery is an enterprise-wide yield management system that collects, stores and correlates yield information from multiple data sources in a fabrication facility. This product was the result of a cooperative development project with Motorola. The Company released production versions of Discovery in early fiscal 1996. CIMA is a test floor automation product that was developed by the Company and introduced in August 1994. CIMA collects test data from, and automates the operation of, the wafer floor. CIMA is currently in production and is installed in several modern fabrication facilities. PRISM has formed a client services organization to provide system integration and consulting services to assist its customers in the integration of its software products into the facility's information systems. SEMSPEC-SCANNING ELECTRON MICROSCOPE INSPECTION SYSTEMS As feature sizes of semiconductor circuits continue to decrease for leading edge semiconductor products, the Company believes that conventional optical technologies ultimately will begin to reach physical limits imposed by the wavelength of light and fail to provide the necessary inspection resolution. Working closely with those customers with the most advanced inspection requirements, KLA has developed the world's only fully automatic electron beam inspection systems. These systems, comprised of the world's fastest scanning electron-optical column and a high speed image computer, are used for wafer and x-ray mask inspection. The development of these systems was funded in part by customer-sponsored research and development programs. KLA expects the market for these inspection systems to emerge slowly. ATS WATCHER DIVISION-IMAGE PROCESSING SUBSYSTEMS The ATS Watcher division develops and manufactures the image processing electronics and optical subsystems sold to Tokyo Electron, Limited ("TEL") for inclusion in TEL's wafer probers. TEL manufactures the prober's mechanical chassis and incorporates the KLA electronics and subsystems. On April 30, 1996, TEL and KLA reached agreement to transfer all of KLA's prober distribution operations to TEL. Under the agreement, KLA transferred all prober related assets to TEL, and KLA is no longer selling or servicing prober systems. CUSTOMERS AND APPLICATIONS The Company believes that it is one of the few suppliers which sells its systems to virtually all of the world's semiconductor manufacturers. In fiscal 1994,1997, 1996 and 1995, and 1996, no single customer accounted for more than 10% of the Company's revenues. 5 6INTERNATIONAL REVENUES The Company has wholly-owned foreign subsidiaries or foreign branches of domestic subsidiaries in Japan, Korea, Taiwan, Europe, Israel, Singapore and Malaysia for marketing, sales and service of products. In addition, the Company has manufacturing operations in Israel for its metrology products. International sales accounted for approximately 65% of the Company's revenues for each of 1997, 1996 and 1995. For information regarding the Company's revenues from foreign operations for the Company's last three fiscal years, see Note 9 of Notes to Consolidated Financial Statements incorporated herein by reference to Exhibit 13.1 hereto. The Company believes that foreign sales will continue to be a significant percentage of revenues. The future performance of the Company will be dependent upon, in part, its ability to continue to compete successfully in Asia, one of the largest areas for the sale of yield management services in process monitoring equipment. The Company's ability to compete in this area in the future is dependent upon the continuation of favorable trading relationships between the region (especially Japan and Korea) and the United States and the continuing ability of the Company to maintain satisfactory relationships with leading semiconductor companies in the region. International sales and operations may be adversely affected by imposition of governmental controls, restrictions on export technology, political instability, trade restrictions, changes in tariffs and the difficulties associated with staffing and managing international operations. In addition, international sales may be adversely affected by the economic conditions in each country. The revenues from the Company's international business may be affected by fluctuations in currency exchange rates. Although the Company attempts to manage near term currency risks through "hedging," there can be no assurance that such efforts will be adequate. These factors could have a material adverse effect on the Company's future business and financial results. SALES, SERVICE AND MARKETING The Company believes that the size and location of its field sales, service and applications engineering organization represents a competitive advantage in its served markets. In the United States, Europe, Asia Pacific and Japan the Company has a direct sales force locatedalthough in major geographical markets. The Company'sthe past it has used a mix of direct sales service and applications facilities throughout the world employ over 600 sales, service and applications engineers. In fiscal 1996, the Company sold its systems in Japan, Singapore and Taiwan through local sales representatives. Starting in July 1996, KLA began selling direct in these countries and no longer uses a local distributor/sales representative except in Japan. In Japan, thearrangements. The Company took over the majority of the sales duties in July 1996, but will be phasing out its distributor (TEL) through December 1996. KLA maintains an export compliance program that fully meets the requirements of the U.S. Department of Commerce. KLA has never been denied approvalCommerce and the Department of State. The Company does not consider its business to ship against a purchase order. For information regardingbe seasonal in nature, but it is cyclical with respect to the Company's revenues from foreign operations forcapital equipment procurement practices of major semiconductor manufacturers and is impacted by the Company's last three fiscal years, see Note 10 on page 22investment patterns of the 1996 Annual Report to Stockholders, incorporated herein by reference. TECHNOLOGYsuch manufacturers in different global markets. 5 7 The Company's inspectionsales, service and metrology systems precisely capture trillions of features on wafersapplications facilities throughout the world employ over 1500 sales, service and reticles that are as small as 10 millionths of an inch on a side and analyze each of these features for possible defects through the use of the following technologies: Image Acquisition. The Company's systems acquire images of sub-micron features on wafers and reticles. The quality and brightness of the images greatly influence the speed and sensitivity of the final inspection system.applications engineers. The Company has developed a wide range of optical imaging systems, such as laser scanners, interference microscope systems,maintains sales and conventional white lightservice offices throughout the United States and deep UV optical systems. To satisfy the future sensitivity requirements of advanced lithography, the Company has developed an electron beam system which incorporates the world's fastest scanning electron-optical column. Image Conversion. The Company's equipment converts the photon or electron image to an electronic digital format. The Company has been a pioneer in the use of time-delay-integration sensors. The Company also utilizes other image conversion technologies such as avalanche diode detectors, photo multiplier systemsJapan, Korea, Taiwan, Singapore, Europe and fixed frame pickups. Precision Mechanics. In the most common configuration of an inspection system, the reticle or the wafer is moved at a constant speed through the field of the imaging system. Since areas of interest are as small as 5 millionths of an inch, and vibrations in the scanning system of one-tenth of the area of interest can degrade system performance, the mechanical stage must be extremely smooth and precise. To address these requirements, the Company has ten years of experience in the design and manufacture of air-bearing linear drive stages. Proprietary Algorithms. To perform the inspection or measurement task, the Company's equipment examines the properties of the digitized images using a set of logical steps (algorithms) which measure the desired image property. The Company's engineers develop sets of algorithms that are specifically tailored to obtain optimum performance for its wafer, reticle and metrology systems. These algorithms are largely responsible for the state-of-the-art performance of the Company's systems. Image Computers. The combination of proprietary algorithms and special purpose computers allows the Company's equipment to have a high performance to cost ratio. While general purpose computers are capable of executing the Company's algorithms, very few computer architectures can sustain the computing speed that is required in the Company's systems. To address this requirement, the Company develops and builds special purpose image computers designed to execute its algorithms. Database Analysis. Many of the inspections that the Company's reticle inspection systems perform require a digital image representation of the ideal pattern obtained from the data used to manufacture the reticle. This capability allows inspection systems to compare the actual circuit with its design specifications. The Company has been developing database systems for over 16 years to satisfy this objective. Its present generation of special purpose database computers is capable of generating simulated images at the same high speeds at which the Company's image conversion systems generate the digital image from the actual reticle. 6 7 Statistical Process Control. Integrated circuit yield management and process monitoring systems generate hundreds of thousands of data items each day. To enhance the utility of this data, the Company has a team of software engineers who build systems containing statistical process control software to simplify data and present this data in a useful manner. The Company is continuing to work on new software to enhance its statistical process control systems.Israel. RESEARCH AND DEVELOPMENT The market for yield management and process monitoring systems is characterized by rapid technological development and product innovation. The Company believes that continued and timely development of new products and enhancements to existing products are necessary to maintain its competitive position. Accordingly, the Company devotes a significant portion of its personnel and financial resources to research and development programs and seeks to maintain close relationships with customers to remain responsive to their needs. In order to meet continuing developments in the semiconductor industry and to broaden the applications for its image processing technology, the Company is committed to significant engineering efforts for product improvement and new product development. New product introductions may contribute to fluctuations in operating results, since customers may defer ordering products from existing product lines. If new products have reliability or quality problems, reduced orders, higher manufacturing costs, delays in acceptance of and payment for new products and additional service and warranty expense may result. On occasion, the Company has experienced reliability and quality problems in connection with certain product introductions, resulting in some of these consequences. There can be no assurance that the Company will successfully develop and manufacture new hardware and software products or that new hardware and software products introduced by the Company will be accepted in the marketplace. If the Company does not successfully introduce new products, its results of operations will be affected adversely. The Company reports engineering, research and development expense net of this funding. KLA typically receives some external funding from customers, industry groups, and government sources to augment its engineering, research and development efforts. The Company reports engineering, research and development expense net of this funding. Thus, recorded amountsAmounts for engineering, research and development expense were 9%13.0%, 10%10.6% and 11%10.6% of sales in fiscal 1994,1997, 1996 and 1995, and 1996, respectively. For information regarding the Company's research and development expense during the last three fiscal years, see Notes 1Management's Discussion and 8 on pages 18Analysis of Results of Operations and 22, respectively, of the 1996 Annual Report to StockholdersFinancial Condition incorporated herein by reference.reference to Exhibit 13.1 hereto. MANUFACTURING The Company's principal manufacturing activities take place in San Jose and Milpitas, California and Migdal Ha'Emek, Israel, and consist primarily of manufacturing, assembling and testing components and subassemblies which are acquired from third party vendors and then integrated into the Company's finished products. Due to the discontinuation of the Wafer Probing Systems agreement with TEL, the Company discontinued manufacturing operations in Bevaix, Switzerland in April 1996. The Company isemploys over 1800 manufacturing and engineering personnel and also cross-trainingcross-trains personnel so that it canin order to respond to changes in product mix by reallocatingmix. This reallocation of personnel is in addition to hiring. The Company has been working with key vendors to improve inventory management. Volume purchase agreements and just-in-time delivery schedules have helped control both inventory levels and costs. The Company's manufacturing engineers, in conjunction with key vendors, are improving the manufacturability and reliability of the new wafer and reticle inspection systems and metrology systems.hires. Many of the components and subassemblies are standard products, although certain items are made to Company specifications. Certain of the components and subassemblies included in the Company's systems are obtained from a single source or a limited group of suppliers. Those parts subject to single or limited source supply are routinely monitored by management and the Company endeavors to ensure that adequate supplies are available to maintain manufacturing schedules, should supply for any part be interrupted. Although the Company seeks to reduce its dependence on sole and limited source suppliers, in some cases the partial or complete loss of certain of these sources could disrupt scheduled deliveries to customers and have at least a temporarymaterial adverse effect on the Company's results of operations and damage customer relationships. COMPETITION The worldwide market for yield management and process control systems is highly competitive. In each of the markets it serves, the Company faces competition from established and potential competitors, some of which may have greater financial, engineering, manufacturing and marketing resources than the Company. The Company believes that to remain competitive it will require significant financial resources in order to offer a broad range of products, to maintain customer service and support centers worldwide, and to invest in product and process research and development. The 6 8 semiconductor equipment industry is becoming increasingly dominated by large manufacturers such as Applied Materials, Inc. which recently entered the wafer defect inspection market, Hitachi Electronics Engineering Co., Ltd. and Tokyo Electron Limited, who have the resources to support customers on a worldwide basis. Many of these competitors have substantially greater financial resources and more extensive engineering, manufacturing, marketing and customer service and support capabilities than the Company. The Company expects its competitors to continue to improve the design and performance of their current products and processes and to introduce new products and processes with improved price and performance characteristics. No assurance can be given that the Company will be able to continue to compete successfully against its competitors. Significant competitive factors in the market for yield management and process control systems include system performance, ease of use, reliability, installed base and technical service and support. The Company believes that, while price and delivery are important competitive factors, the customers' overriding requirement is for systems which easily and effectively incorporate automated, highly accurate inspection capabilities into their existing manufacturing processes, thereby enhancing productivity. The Company's yield management and process control systems for the semiconductor industry are generally higher priced than those of its present competitors and are intended to compete based upon performance and technical capabilities. These systems also compete with less expensive, more labor-intensive manual inspection devices. In addition, in configuring their fabrication plants, semiconductor manufacturers increasingly tend to select specific items of manufacturing equipment for all of the fabrication facilities used to produce each generation of integrated circuits. As a result of this process, the Company's failure to have one or more of its products selected by a semiconductor manufacturer for use in its facilities for a particular generation of integrated circuits may effectively eliminate sales of that product for all of that manufacturer's fabrication plants used for that generation of integrated circuits. The Company's wafer and reticle inspection systemsfailure to have a predominant share of their respective markets. The Company believes that it is the leading provider of overlay registration systems. 7 8 Manyone or more of the Company's competitors are investing inproducts selected by a major semiconductor manufacturer, especially one that is a significant customer of the developmentCompany, for a particular generation of newits integrated circuit products aimed at applications currently served bycould have a significant and long-term adverse effect on the Company. The Company's competitors can be expected to continue to improve the design and performanceresults of their products in each product area and to introduce new products with competitive price/performance characteristics. Competitive pressures often necessitate price reductions which can adversely affect operating results.operations. Although the Company believes that it has certain technical and other advantages overbeen relatively successful to date in these selection decisions, not all of the Company's products have been selected by each of its competitors, maintaining such advantages will require a continued high levelcustomers for fabrication facilities for each generation of investment by the Company in research and development and sales and marketing. Thereintegrated circuits. Further, there can be no assurance that the CompanyCompany's products will have sufficient resources to continue to make such investmentsbe selected in the future, or that the Company will continue to be ableas successful in connection with selection processes as it has been to make the technological advances necessary to maintain these competitive advantages. The yield management and process control industry is characterized by rapidly changing technology and a high rate of technological obsolescence. Development of new technologies that have price/performance characteristics superior to the Company's technologies could adversely affect the Company's results of operations. In order to remain competitive, the Company believes that it will be necessary to expend substantial effort on continuing product improvement and new product development. There can be no assurance that the Company will be able to develop and market new products successfully or that the products introduced by others will not render the Company's products or technologies non-competitive or obsolete.date. PATENTS AND OTHER PROPRIETARY RIGHTS The Company protects its proprietary technology through a variety of intellectual property laws including patent, copyright and trade secrets law; however, the Company believes that, due to the rapid pace of innovation within the yield management and process control systems industry, its protection of patent and other intellectual property rights is less important than factors such as its technological expertise, continuing development of new systems, market penetration and installed base and the ability to provide comprehensive support and service to customers. There can be no assurance that the Company will be able to protect its technology or that competitors will not be able to develop similar technology independently. The Company protects its proprietary technology through a variety of intellectual property laws includingcurrently holds 106 U.S. patents copyrights and trade secrets. The Company's source code is protected as a trade secret and as an unpublished copyright work. Thehas applied for 33 additional patents in the United States. In addition, the Company has a number of United States24 foreign patents and applied for 75 additional foreign patents. From time to time the Company acquires license rights under U.S. and foreign patents and patent applications. The Company's effort to protect its intellectual propertyother proprietary rights through trade secret and copyright protection may be impaired ifof third parties are able to copy or otherwise obtain and use the Company's technology without authorization. Effective intellectual property protection may be unavailable or limited in certain foreign countries. In addition, the semiconductor industry is characterized by frequent litigation regarding patent and other intellectual property rights.parties. No assurance can be given that patents will be issued on any patent heldof the Company's applications, that license assignments will be made as anticipated or that the Company's patents, licenses or other proprietary rights will be sufficiently broad to protect its technology. In addition, no assurance can be given that any patents issued to or licensed by the Company will not be challenged, invalidated or circumvented or that the rights granted thereunder will provide sufficient protection.a competitive advantage to the Company. BACKLOG Backlog ordersAt June 30, 1997, the Company's backlog for systems were $250 andtotaled $573 million, compared to $385 million at June 30, 1995 and 1996, respectively.1996. In general, systems ship within six months to a year after receipt of a customer's purchase order. The Company expects to fill the present backlog of orders during fiscal 1998. All orders are subject to cancellation or delay by the customer 7 9 with limited or no penalty. The Company's backlog is not necessarily indicative of actual sales for any succeeding period. EMPLOYEES As of August 31, 1996,June 30, 1997, the Company employed a total of approximately 2,5003,600 persons. None of the Company's employees are represented by a labor union. The Company has experienced no work stoppages and believes that its employee relations are good. Competition in the recruiting of personnel in the semiconductor and semiconductor equipment industry is intense. The Company believes that its future success will depend in part on its continued ability to hire and retain qualified management, marketing and technical employees. 8 9 ITEM 2. PROPERTIES The Company owns a corporate facility which houses engineering, manufacturing and administrative functions in San Jose, California, occupying approximately 232,000 square feet. The Company purchased this facility in 1990Certain information concerning the Company's properties at a total cost of approximately $30 million, including improvements.June 30, 1997 is set forth below:
Location Type Principal use Footage Ownership - -------- ---- ------------- ------- --------- San Jose, CA Office, Corporate Headquarters 711,667 lease plant, Research and Engineering, warehouse Marketing, Manufacturing, Sales and Service and Sales Administration Milpitas, CA Office, Research and Engineering, 563,565 lease plant, Marketing, Manufacturing, warehouse Sales and Service and Sales Administration Austin, TX office Sales and Service, Training 27,424 lease Naruse, Japan office Sales and Service 29,107 lease Yokohama, Japan office Sales and Service 23,057 lease Seoul, Korea office Sales and Service 17,558 lease Hsinchu, Taiwan office Sales and Service 14,892 lease Migdal Ha'Emek, office Research and Engineering, 53,800 lease Israel Marketing, Manufacturing, Sales and Service and Sales Administration
8 10 The Company leases additional office space for manufacturing, engineering, sales and service activities, including seven locations in the U.S., eleven in Japan, eight in Europe, two each in Korea and Israel, and one each in Malaysia and Taiwan. The Companyseveral other facilities under operating leases three buildings adjacent to its campus facility, consisting of an aggregate of approximately 87,000 square feet. Two of these leases have been extended to fiscal 2000 (73,000 square feet). Inthat expire at various times through June 1995, the Company entered into a five-year operating lease for a 105,000 square-foot building constructed on land owned by the Company in San Jose, California. Monthly rent payments for the building commenced on July 1, 1996, and will vary based on the London interbank offering rate (LIBOR). The Company may, at its option, purchase the building during the term of the lease for $12.5 million. In August 1995, the Company entered into a five-year operating lease agreement for an additional 120,000 square feet in two buildings in San Jose, California. Monthly rent payments for the buildings commenced on May 1, 1996, and will vary based on the LIBOR rate. The Company may, at its option, purchase the buildings during the term of the lease for $18.7 million. If the Company does not purchase any or all of the buildings30, 2012 with renewal options at the end of their respective leases, the Company will guarantee the lessor 85% of the aforementioned purchase prices of the building or buildings not purchased. In addition, the lease agreements require the Companyfair market value for additional periods up to maintain, among other items, minimum quick ratio, tangible net worth and profitability. As of June 30, 1996, the Company wasfive years. See Note 4 to Notes to Consolidated Financial Statements in compliance with all of these covenants.Exhibit 13.1 hereto. ITEM 3. LEGAL PROCEEDINGS Not Applicable. ItemNone. ITEM 4. SUBMISSION OF MATTERS TO A VOTE OF SECURITY HOLDERS Not Applicable.On April 30, 1997 a Special Meeting of the Stockholders was held. At that meeting, the Company's stockholders approved the following three proposals: 1. Proposal to issue shares of the common stock to the shareholders of Tencor Instruments in accordance with a merger agreement among the Company, Tencor Instruments and a wholly-owned subsidiary of the Company: FOR: 37,673,729 ABSTAIN: 65,188 AGAINST: 58,776
2. Proposal to amend the Company's Certificate of Incorporation to change the name of the Company to KLA-Tencor Corporation: FOR: 38,368,617 ABSTAIN: 73,058 AGAINST: 78,877
3. Proposal to amend the Company's Certificate of Incorporation to increase the number of authorized shares of the Company from 175,000,000 to 250,000,000 and to eliminate the designation of a class of junior common stock: FOR: 37,435,273 ABSTAIN: 79,605 AGAINST: 533,500
9 11 PART II ITEM 5. MARKET FOR THE REGISTRANT'S COMMON STOCK AND RELATED STOCKHOLDER MATTERS "Common Stock" on page 24 of the 1996 Annual Report to StockholdersThe information required by this Item is incorporated herein by reference.reference to Exhibit 13.1 hereto. ITEM 6. SELECTED FINANCIAL DATA "Selected Financial Data" on page 14FIVE YEAR FINANCIAL HIGHLIGHTS In thousands, except per share data
Year ended June 30, 1993 1994 1995 1996 1997 - ------------------- ---------- ---------- ---------- ---------- ---------- Revenues $ 261,105 $ 376,454 $ 695,950 $1,094,492 $1,031,824 Net income $ 11,507 $ 40,443 $ 104,811(1) $ 196,634 $ 105,396(2) Net income per share $ 0.19 $ 0.59 $ 1.34(1) $ 2.34 $ 1.24(2) Weighted average number of common shares 60,841 69,076 78,427 84,195 85,203 As of June 30, Cash, cash equivalents and marketable securities $ 70,044 $ 174,305 $ 385,040 $ 468,475 $ 687,249 Working capital $ 133,084 $ 277,791 $ 452,350 $ 591,397 $ 535,256 Total assets $ 260,485 $ 430,453 $ 850,406 $1,157,919 $1,343,307 Stockholders equity $ 165,379 $ 307,334 $ 652,222 $ 870,999 $1,014,613
(1) Includes a net charge of the 1996 Annual Report to Stockholders is incorporated herein by reference.$16.2 million, or $0.33 per share, for write-off of acquired in-process technology. Net income and net income per share would have been $121 million and $1.54, respectively, excluding this charge. (2) Includes merger, restructuring and other costs of $60.6 million. Net income and net income per share would have been $151.3 million and $1.78, respectively, excluding these costs. ITEM 7. MANAGEMENT'S DISCUSSION AND ANALYSIS OF RESULTS OF OPERATIONS AND FINANCIAL CONDITION "Management'sManagement's Discussion and Analysis of Results of Operations and Financial Commentary" on pages 12 and 13 of the 1996 Annual Report to StockholdersCondition is incorporated herein by reference.reference to Exhibit 13.1 hereto. ITEM 7A. QUANTITATIVE AND QUALITATIVE DISCLOSURES ABOUT MARKET RISK The information required by this item is incorporated herein by reference to Exhibit 13.1 hereto. ITEM 8. FINANCIAL STATEMENTS AND SUPPLEMENTARY DATA The consolidated financial statements together with the report thereon of Price Waterhouse LLP dated August 7, 1996, appearing on pages 14 through 24 of the 1996 Annual Report to Stockholders are incorporated herein by reference. With the exception of the aforementioned information and the information incorporated in Items 5, 6, 7 and 8, the 1996 Annual Reportreference to Stockholders is not to be deemed filed as part of this Form 10-K Annual Report.Exhibit 13.1 hereto. ITEM 9. DISAGREEMENTS ON ACCOUNTING AND FINANCIAL DISCLOSURE Not Applicable. 910 1012 PART III ITEM 10. DIRECTORS AND EXECUTIVE OFFICERS OF THE REGISTRANT DIRECTORS AND EXECUTIVE OFFICERS OF THE REGISTRANT Set forth below are the names of the present directors and executive officers of the Company, their ages and positions held with the Company. Additional information required by Item 405 of Regulation S-K of the Securities Act of 1933, as amended, is incorporated herein by reference to the Company's Proxy Statement.
Name Age Position - ---- --- -------- Kenneth Levy 5355 Chairman of the Board of Directors andJon D. Tompkins 57 Chief Executive Officer and Director Kenneth L. Schroeder 5051 President, Chief Operating Officer and Director Robert J. Boehlke 5556 Executive Vice President, of Finance and Administration, Chief Financial Officer and Assistant Secretary Frank L. Brienzo 45Frederick A. Ball 35 Vice President Asia Operations Virginia J. DeMars 54Finance and Accounting Lisa C. Berry 39 Vice President, Human ResourcesGeneral Counsel and Assistant Secretary Gary E. Dickerson 38 Group40 Executive Vice President Wafer InspectionYield Management Group Samuel A. Harrell 5657 Senior Vice President, Strategic Business Development Michael W. Morrissey 51 GroupNeil Richardson 42 Executive Vice President CustomerMetrology Group Neil Richardson 41 Vice President, Metrology Magnus O. W. Ryde 4042 Vice President U.S. and European Sales OrganizationsWorldwide Field Operations Arthur P. Schnitzer 53 Group54 Executive Vice President Christopher Stoddart 40 Treasurer Bin-Ming Ben Tsai 38Human Resources Graham J. Siddall 51 Executive Vice President Chief Technical Officer William Turner 40 Vice President, Corporate FinanceWafer Group James W. Bagley 58 Director Edward W. Barnholt 5354 Director Leo J. Chamberlain 6667 Director Robert E. Lorenzini 59Richard J. Elkus, Jr. 62 Director Dean O. Morton 65 Director Yoshio Nishi 5657 Director Samuel Rubinovitz 6667 Director Dag Tellefsen 55 Director Lida Urbanek 54 Director
Mr.Kenneth Levy co-founded the Company in July 1975 and served as President and Chief Executive Officer andis a Directorco-founder of the Company and is Chairman of the Board. From 1975 until November 1991, whenApril 30, 1997 he becamewas Chairman of the Board of Directors and Chief Executive Officer. Since May 1993, Mr. Levy has been a DirectorHe currently serves on the boards of directors of Ultratech Stepper, Inc., a manufacturer of photolithography equipment; since April 1993, a Director of Network Peripherals, Inc., a supplier of high-performance client-server networking solutions; and since August 1995, a Director of Integrated Process Equipment Corporation a manufacturer of chemical, mechanical, polishing and cleaning equipment which is used in the manufacturing of advanced semiconductor devices. Mr. Schroeder rejoined the Company in November 1991 as President, Chief Operating Officer and Director. Mr. Schroeder had worked previously at KLA from 1979 through 1987, during which time he held the positions of Vice President of Operations (1979); Vice President and General Manager, RAPID (1982); Vice President and General Manager, WISARD (1983); and Senior Vice President (1985). In July 1988, he became President andTrikon Technologies, Inc. Jon D. Tompkins has been Chief Executive Officer of Photon Dynamics, Inc.,the Company since April 30, 1997. From April 1991 until April 30, 1997 he was president and chief executive officer of Tencor Instruments, a manufacturer of electro-optic test equipment. In mid-1990, hewafer inspection, film measurement and metrology systems for the semiconductor industry. He was a director of Tencor Instruments from 1991 until 1997 and was appointed chairman of the board of directors of Tencor Instruments in November 1993. He currently serves on the board of directors of Varian Corporation as well as SEMI/SEMATECH, a private research and development consortium of U.S. semiconductor equipment and materials companies. Kenneth L. Schroeder has been President, Chief Operating Officer and Director of Genus, Inc.,the Company since November 1991. He currently serves on the board of directors of GaSonics International. Robert J. Boehlke has been Vice President and Chief Financial Officer of the Company since July 1990. In April 1997 he was promoted to Executive Vice President. He currently serves on the board of directors of LTX Corporation. Frederick A. Ball became Vice President Finance and Accounting of the Company on April 30,1997. He joined Tencor Instruments, a manufacturer of CVD chemical vapor depositionwafer inspection, film measurement and ion implant equipment. He left Genusmetrology systems for the semiconductor industry, as corporate controller in October 1991,March 1995 and was promoted to rejoin KLA. Since July, 1993,corporate vice president and appointed corporate secretary in January of 1996. Prior to Tencor Instruments, Mr. Schroeder has been a director of SEMI/SEMATECH, an organization of American equipment companies supporting SEMATECH and its mission; since August, 1995, Mr. Schroeder has been a director of GaSonics, International, a supplier of resist stripping, cleaning, etching and deposition equipment. Mr. BoehlkeBall was with Price Waterhouse LLP for ten years. 11 13 Lisa C. Berry joined the Company in April 1983September 1996 as Vice President and General ManagerCounsel. Ms. Berry joined the Company from LSI Logic Corporation, a manufacturer of application specific integrated circuits, where she held the RAPID Division. Subsequently, he was General Managerpositions of several divisionsassociate general counsel from October 1994 until September 1996 and groups of divisions at KLA. In June 1985, Mr. Boehlke was elected to Senior Vice President and to Executive Vice President in January 1989, and to Chief Operating Officer inassistant general counsel from August 19891991 until July 1990, when he became Chief Financial Officer. From 1988 until 1993 he served on the Board of Directors of SEMI/SEMATECH, where he was a member of the executive committee. Mr. BrienzoOctober 1994. Gary E. Dickerson joined the Company in MarchJanuary 1986 as Directorand has held a series of Quality Assurance and Customer Acceptance, WISARD Division. In Sept. 1986, he became Vice President of Operations, WISARD Division. In October 1990, he was named President of KLA Acrotec. He served there until September 1994, when he took the position of Vice 10 11 President Operations, KLA Japan.positions. In July 1995,1990 he was promoted to Vice President, Asia Operations and General Manager, KLA Japan. Ms. DeMars joined KLA in 1988 as Director of Human Resources after a 13 year career in Employee Relations at Monolithic Memories, Inc. and Advanced Micro Devices. In November 1991, KLA promoted Ms. DeMars to Vice President of Human Resources, worldwide. Mr. Dickerson joined KLA in January 1986 as a Senior Applications Engineer in the Wafer Inspection Division. In July 1987 he was promoted to Manager of Applications Engineering for the Wafer Inspection Division, followed by Manager of Product Planning in July 1989, Director of Marketing in July 1990, and Vice President of Marketing in July 1992. In July 1993, he was promoted to Vice President and Director of the Wafer Inspection Business Unit.Group. In July 1994,January 1996, he was electedpromoted to Group Vice President. In 1997, Mr. Dickerson became Executive Vice President of the Company and promoted to Group Vice President in January, 1996.newly formed Yield Management Solutions Group. Dr. Samuel A. Harrell joined the Company in September 1995 as Senior Vice President and Chief Strategy Officer.of Strategic Business Development. Dr. Harrell is responsible for strategic corporate development. Dr. Harrell served from October 1992 to December 1995 as the Senior Vice Presidentsenior vice president and Chief Strategy Officerchief strategy officer of SEMATECH. From August 1987 to September 1992 he served as Presidentpresident of SEMI/SEMATECH. Mr. Morrissey joined KLA in April 1996 as Group Vice President for the Customer Group, after a 26 year career with NCR and AT&T. He was Vice President of NCR's Workstation Product Division from July 1993 to April 1996 and Vice President of the Microelectronics Division from March 1991 to June 1993. Mr. Morrissey has also served on the Board of Directors for SEMATECH. Dr. Neil Richardson joined KLAthe Company in June 1993 as Vice President and General Manager of the Metrology Division, and was electedDivision. He became Executive Vice President of the Metrology Group (of the combined operations of the Company and Tencor Instruments as a result of the Merger) in July 1994.1997. He served as Vice Presidentvice president and Generalgeneral Manager of the Diagnostic Systems Group of Schlumberger Technologies from September 1985 to November 1991, and was the Corporate Technology Advisercorporate technology adviser for Schlumberger Ltd., a manufacturer of electronic test equipment, from November 1991 to May 1993. Mr.Magnus O.W. Ryde joined KLAthe Company in June 1980 as Production Control Manager.and has held a series of positions. In May 1981 he was promoted to Materials Manager, followed by Production Manager in January 1982 and Manager, Advance Manufacturing - KLA208 in May 1984. In March 1985, he became Product Marketing Manager for the RAPID Division. In December 1988, after leaving KLA for 6 months to pursue other interests, he returned as Director of EMMI Business within the ATS Division. In January 1989, he was promoted to Director of Operations - Europe, and in January 1991, Mr. Ryde became Vice President of Operations for the Company's ATS Division.division. He was promoted to Vice President and General Manager of the Customer Support Divisiondivision in July 1992 and was elected to Vice President of the Company in July 1994.1992. In July 1995, he became Vice President offor the U.S.United States and European Sales Organizations. Mr.In July 1997 he was promoted to Vice President of Worldwide Field Operations. Arthur P. Schnitzer joined the Company in July 1978 as Software Engineering Manager, was promoted to Directorand has held a series of Engineering of the RAPID Division in July 1982, andpositions. In 1989 he was promoted to Vice President in July 1983. He became Vice President of Technology and Marketing of RAPID in May 1987, and Vice President of Advanced Inspection in January 1989. In October 1989, he was promoted to General Manager of the WISARD Division and, additionally, was elected to Vice President of the Company in July 1990.Wisard division. In July 1993, he became Group Vice President and is presently responsible for RAPID, SEMSpecSEMSPEC, PRISM and PRISM. Mr. Stoddart joined the Company in December 1991 as Treasurer. Prior to joining the Company, Mr. Stoddart was Treasurer of General Cellular Corporation, a cellular telephone service provider, from October 1989 to September 1991manufacturing for WISARD and previously with The Cooper Companies, Inc., a manufacturer of pharmaceuticals and medical and implant equipment, as Assistant Treasurer from August 1986 to July 1988, and then Treasurer from July 1988 to September 1989. Dr. Tsai joined the Company inRAPID. In June 1984 as a member of the WISARD Technical Staff and was promoted to Manager of Algorithm Development for the WISARD Division. From August 1989 until September 19901997 he served as Director of Engineering for WISARD. In October 1990, he was promoted tobecame Executive Vice President, of Engineering for KLA Acrotec, and in July 1994 heHuman Resources. Dr. Graham J. Siddall was electedappointed Executive Vice President of the Company and promoted to Chief Technical Officer. Mr. Turner joinedWafer Group (of the Company in September 1983 as a Financial Analyst. After serving as Controller for the Rapid, ATS and International divisions, he was named Corporate Controller in December 1989 and was elected Vice Presidentcombined operations of the Company and Tencor as a result of the Merger) in July 1990.April 1997. In August 1996,December 1995, he was named Vice Presidentappointed executive vice president and chief operating officer of Corporate Finance. 11 12 Mr. BarnholtTencor Instruments, a manufacturer of wafer inspection, film measurement and metrology systems for the semiconductor industry. Previously Dr. Siddall served as senior vice president for the Tencor Instruments Wafer Inspection Division from November 1994 to December 1995 and has served asbeen a vice president since joining Tencor Instruments in 1988. James W. Bagley has been a Director of the Company since SeptemberApril 30, 1997. He was a director of Tencor Instruments, a manufacturer of wafer inspection, film measurement and metrology systems for the semiconductor industry, from June 1993 until April 30, 1997. He has been chief executive officer and a director of Lam Research Corporation, a manufacturer of semiconductor processing equipment, since August 1997. From May 1996 until August 1997 he was chairman of the board and chief executive officer of OnTrak Systems, Inc. until its merger with Lam Research Corporation in August 1997. From December 1987 until December 1993 Mr. Bagley was president and chief operating officer for Applied Materials, Inc., a manufacturer of wafer fabrication systems to the semiconductor industry. From January 1994 until October 1995 he was vice chairman and chief operating officer of Applied Materials, Inc., and vice chairman from November 1995 until May 1996. Mr. Bagley currently serves on the boards of directors of Teradyne, Inc., Kulicke & Soffa Industries, Inc., Micron Technology, Inc. and Semi/SEMATECH. Edward W. Barnholt has been a Director of the Company since 1995. Mr. Barnholt joined Hewlett-Packard Company, a manufacturer of electronic and computer equipment in December 1966. From October1988 to 1990 to Octoberhe was general manager of the Electronics Instruments Group of the Hewlett Packard Company. In July 1988, he was elected vice president and in November 1993 he served as Vice Presidentwas elected senior vice president. Mr. Barnholt is currently executive vice president and General Managergeneral manager of the Test and Measurement Organization for Hewlett-Packard. In November 1993 he was promoted to Senior Vice President and General Manager of the Test and Measurement Organization. Mr.Hewlett Packard Company. Leo J. Chamberlain has served asbeen a Director of the Company since 1982. He has also served asis a Directorprivate investor. Mr. Chamberlain currently serves on the board of directors of Octel Communications Corporation, a manufacturer of high performance voice processing systems since March 1989. Mr. LorenziniCorporation. Richard J. Elkus, Jr. has served asbeen a Director of the Company since 1976.April 30, 1997. He has served since January 1993 as Chairmanwas executive vice president and vice chairman of SunPower Corporation,the board of directors of Tencor Instruments, a manufacturer of optoelectronic devices,wafer inspection, film measurement and metrology systems for the semiconductor industry, from October 1988 to January 1993,February 1994 until April 30, 1997. Previously, he served as Presidentwas with Prometrix Corporation from September 1983 until February 1994 where he held the positions of chairman and Chief Executive Officer. Since July 1993, hechief executive 12 14 officer until its merger with Tencor Instruments in February 1994. He currently serves on the boards of directors of Voyan Technology and Lam Research Corporation. Dean O. Morton has also been a Principal in Dalton Partners, a turn-around management company. He was a founder and, until December 1986, Chairman of the Board of Siltec Corporation, a manufacturer of semiconductor materials and manufacturing equipment. Since October 1986, Mr. Lorenzini has also served as a Director of FSI International, a semiconductor process equipment manufacturer. Dr. Nishi has served as a Director of the Company since April 30, 1997. From June 1993 until April 30, 1997 he was director of Tencor Instruments, a manufacturer of wafer inspection, film measurement and metrology systems for the semiconductor industry. In October 1992 Mr. Morton retired as executive vice president and chief operating officer and as a director of the Hewlett Packard Company, a manufacturer of electronic and computer equipment, where he held various positions from 1960 until his retirement. Mr. Morton currently serves as chairman of the board of Centigram Communications Corporation and as a director of ALZA Corporation, The Clorox Company, BEA Systems Inc. and Raychem Corporation. Mr. Morton is also a trustee of the Metropolitan Series Fund and State Street Research Funds Group and Portfolio, Inc. Yoshio Nishi has been a Director since 1989. HeSince May 1995 he has served as Senior Vice Presidentbeen director of research and Directordevelopment and senior vice president of Research and Development for the Semiconductor Group of Texas Instruments since May 1995. Mr. Nishi served asIncorporated, a Directormanufacturer of numerous research laboratories at Hewlett-Packard fromintegrated circuits and electronic equipment. From January 1986 to April 1995.1995 he was director of Silicon Process Laboratory for Hewlett-Packard Laboratories, a semiconductor technology research facility affiliated with the Hewlett-Packard Company. Samuel Rubinovitz has been a Director since 1990. He is a consulting professor in the Stanford University Department of Electrical Engineering and teaches at Waseda University in Japan as a visiting Professor of the Materials Science and Engineering Department and the Electronic Communication Engineering Department. Mr. Rubinovitz previously served as a Director of the Company from October 1979 to January 1989, and rejoined the Company as a Director in 1990.1989. From April 1989 throughto January 1994 he served as Executive Vice Presidentwas executive vice president of EG&G, Inc., a diversified manufacturer of scientific instruments and electronic, optical and mechanical equipment, and previously as Senior Vice Presidentequipment. He currently serves on the boards of EG&G, Inc. between April 1986 and April 1989. From April 1989 to April 1996, Mr. Rubinovitz served as a Director of EG&G. Since October 1984, he has served as Directordirectors of Richardson Electronics, Inc., a manufacturer and distributor of electron tubes and semiconductors and, since October 1986, Director of Kronos, Inc., a manufacturer of electronic time keeping systems. Since December 1994, he has served as a Director of LTX Corporation a manufacturer of Semiconductor Test Equipment. Mr.and Kronos, Inc. Dag Tellefsen has served asbeen a Director of the Company since 1978. He is Managing Partnerthe general partner of the Investment Manager of Glenwood Management, aVentures I and II, venture capital firm. Since January 1983, hefunds. He currently serves on the boards of directors of Iwerks Entertainment Corporation, Aptix and Metorex International. Lida Urbanek has served asbeen a Director of Iwerks Entertainment,the Company since April 30, 1997. She is a producer of movie-based specialty theaters, and since 1982, asprivate investor. She was a director of Octel Communications Corporation.Tencor Instruments, a manufacturer of wafer inspection, film measurement and metrology systems for the semiconductor industry, from August 1991 until April 30, 1997. ITEM 11. EXECUTIVE COMPENSATION The information required by this Item is incorporated by reference to "EXECUTIVE COMPENSATION" in the Company's Proxy Statement. ITEM 12. SECURITY OWNERSHIP OF CERTAIN BENEFICIAL OWNERS AND MANAGEMENT The information required by this Item is incorporated by reference to "SECURITY OWNERSHIP -- Principal Stockholders and Security Ownership of Management" in the Company's Proxy Statement. ITEM 13. CERTAIN RELATIONSHIPS AND RELATED TRANSACTIONS The information regarding Executive Compensation"Certain Relationships and Related Transactions" as it appears in the Proxy Statement is incorporated herein by reference. ITEM 12. SECURITY OWNERSHIP OF CERTAIN BENEFICIAL OWNERS AND MANAGEMENT The information regarding Security Ownership of Certain Beneficial Owners and Management as it appears in the Proxy Statement is incorporated herein by reference. ITEM 13. CERTAIN RELATIONSHIPS AND RELATED TRANSACTIONS The information regarding Certain Relationships and Related Transactions as it appears in the Proxy Statement is incorporated herein by reference.13 15 PART IV ITEM 14. EXHIBITS, FINANCIAL STATEMENTS, SCHEDULES, AND REPORT ON FORM 8-K (a) (1) Financial Statements: See IndexFINANCIAL STATEMENTS AND FINANCIAL STATEMENT SCHEDULES. 1. FINANCIAL STATEMENTS. Consolidated Balance Sheets - As of June 30, 1997 and 1996 Consolidated Statements of Operations - For the Three Years Ended June 30, 1997 Consolidated Statement of Stockholders' Equity - For the Three Years Ended June 30, 1997 Consolidated Statements of Cash Flows - For the Three Years Ended June 30, 1997 Notes to Consolidated Financial Statements page 14.Report of Independent Accountants 2. FINANCIAL STATEMENT SCHEDULES. All schedules are omitted because they are either not applicable or the required information is shown in the consolidated financial statements or notes thereto. 3. EXHIBITS.
Exhibit No. Description - ----------- ----------- 3.1 Certificate of Incorporation as amended(1) 3.2 Bylaws, as amended(1) 4.1 Amended and Restated Rights Agreement dated as of August 26, 1995, between the Company and First National Bank of Boston, as Rights Agent. The Rights Agreement includes as Exhibit A, the form of Right Certificate and as Exhibit B, the summary of transactions of Rights.(2) 10.1 Form of Retention and Non-Competition Agreement(3) 10.2 Form of KLA-Tencor Corporation Corporate Officers Retention Plan(3) 10.3 Form of Indemnification Agreement 10.4 1990 Outside Directors Stock Option Plan(4) 10.5 Second Amended and Restated 1981 Employee Stock Purchase Plan, as amended on November 18, 1996(5) 10.6 1982 Stock Option Plan, as amended on November 18, 1996(6) 10.7 Tencor Instruments Second Amended and Restated 1984 Stock Option Plan (7) 10.8 Tencor Instruments Amended and Restated 1993 Equity Incentive Plan (7) 10.9 Tencor Instruments 1993 Nonemployee Directors Stock Option Plan(7) 10.10 1983 Employee Incentive Stock Option Plan of Prometrix Corporation (7) 10.11 1993 Employee Incentive Stock Option Plan of Prometrix Corporation (7) 10.12 Lease Agreement, Ground Lease Agreement and Purchase Agreement dated June 5, 1995, between BNP Leasing Corporation and the Company(8) 10.13 Lease Agreement and Purchase Agreement dated August 10, 1995, between BNP Leasing Corporation and the Company(8) 10.14 Phase IIA and Phase IIB Leases for Milpitas Facilities dated December 29, 1995(9) 13.1 1997 Annual Report to Stockholders (deemed to be filed except to the extent that the information is specifically incorporated by reference) 21.1 List of Subsidiaries of KLA-Tencor Corporation
14 16 23.1 Consent of Independent Accountants 27.1 Financial Data Schedule
(1) Previously filed, with the same exhibit number, to the Registrant's Form 10-Q for the quarter ended March 31, 1997 (2) FinancialPreviously filed as exhibit 1 to the Registrant's report on Form 8-A/A Amendment No. 1 to the Registration Statement Schedules: See Indexon Form 8-A (filed September 24, 1996, SEC File No. 0-9992) (3) Previously filed, with the same exhibit number, to Financialthe Registrant's Registration Statement Schedules, page 14. (3) Exhibits: See Indexon Form S-4 (filed March 11, 1997, SEC File No. 333-23075) (4) Previously filed as exhibit 4.6 to Exhibits, pages 15the Registrant's Annual Report on Form 10-K for the year ended June 30, 1997 (5) Previously filed as exhibit 10.75 to the Registrant's Registration Statement on Form S-8 (filed March 7, 1997, SEC File No. 333-22939) (6) Previously filed as exhibit 10.74 to the Registrant's Registration Statement on Form S-8 (filed March 7, 1997, SEC File No. 333-22941) (7) Previously filed as exhibits 10.1, 10.2, 10.3, 10.6 and 16.10.7, respectively, to the Registrant's Registration Statement on Form S-8 (filed May 8, 1997, SEC File No. 333-26681) (8) Previously filed, with the same exhibit number, to the Registrant's Form 10-Q for the quarter ended December 31, 1995 (9) Previously filed as exhibit 10.27 to Tencor Instruments Annual Report on Form 10-K for the fiscal year ended December 31, 1995 (b) No reportsREPORT ON FORM 8-K. The Company filed a Current Report on Form 8-K were filed duringon April 15, 1997 which incorporated the Company's earnings release for the third quarter of fiscal 1997 ended JuneMarch 31, 1997. The Company amended that Form 8-K by filing an Amendment to Current Report on Form 8-K setting forth financial statements reflecting 30 1996. 12days of combined earnings of the Company and Tencor Instruments to reflect the Merger using the pooling of interests method of accounting. 15 1317 SIGNATURES Pursuant to the requirements of Section 13 or 15(d) of the Securities Exchange Act of 1934, the registrant has duly caused this report to be signed on its behalf by the undersigned, thereunto duly authorized inon September 25, 1997. KLA-Tencor Corporation By: /s/ Kenneth Levy ------------------------------------ Kenneth Levy, Chairman of the City of San Jose, State of California, on the 26th day of September 1996. KLA INSTRUMENTS CORPORATION By /s/ WILLIAM TURNER ------------------------------------ William Turner Vice President of Corporate FinanceBoard Pursuant to the requirements of the Securities Exchange Act of 1934, this report has been signed below by the following persons on behalf of thisthe registrant and in the capacities and on the dates indicated.
Signature Title Date --------- ----- ---- /s/ KENNETH LEVY Director,Kenneth Levy - -------------------------------- Chairman of the Board and September 26, 199625, 1997 Kenneth Levy Director /s/ Jon D. Tompkins - -------------------------------------------------------------------- Chief Executive Officer Kenneth Levyand September 25, 1997 Jon D. Tompkins Director (Principal Executive Officer) /s/ KENNETH L. SCHROEDER Director, President September 26, 1996 - ------------------------------------ and Chief Operating Officer Kenneth L. Schroeder - -------------------------------- President, Chief Operating September 25, 1997 Kenneth L. Schroeder Officer and Director /s/ ROBERTRobert J. BOEHLKEBoehlke - -------------------------------- Executive Vice President of Financeand September 26, 1996 - ------------------------------------ and Administration,25, 1997 Robert J. Boehlke Chief Financial Officer and Assistant Secretary(Principal Accounting Officer) /s/ EDWARDJames W. BARNHOLTBagley - -------------------------------- Director September 26, 199625, 1997 James W. Bagley /s/ Edward W. Barnholt - -------------------------------------------------------------------- Director September 25, 1997 Edward W. Barnholt /s/ LEOLeo J. CHAMBERLAINChamberlain - -------------------------------- Director September 26, 1996 - ------------------------------------25, 1997 Leo J. Chamberlain /s/ ROBERT E. LORENZINIRichard J. Elkus, Jr. - -------------------------------- Director September 26, 199625, 1997 Richard J. Elkus, Jr. /s/ Dean O. Morton - ------------------------------------ Robert E. Lorenzini /s/ DR. YOSHIO NISHI-------------------------------- Director September 26, 199625, 1997 Dean O. Morton /s/ Yoshio Nishi - ------------------------------------ Dr.-------------------------------- Director September 25, 1997 Yoshio Nishi /s/ SAMUEL RUBINOVITZSamuel Rubinovitz - -------------------------------- Director September 26, 1996 - ------------------------------------25, 1997 Samuel Rubinovitz /s/ DAG TELLEFSENDag Tellefsen - -------------------------------- Director September 26, 199625, 1997 Dag Tellefsen /s/ Lida Urbanek - ------------------------------------ Dag Tellefsen-------------------------------- Director September 25, 1997 Lida Urbanek
1316 14 KLA INSTRUMENTS CORPORATION AND SUBSIDIARIES INDEX TO FINANCIAL STATEMENTS18
Page(s) in 1996 Annual Report* ------------EXHIBIT INDEX ------------- Exhibit No. Description - ----------- ----------- Consolidated Statement3.1 Certificate of OperationsIncorporation as amended(1) 3.2 Bylaws, as amended(1) 4.1 Amended and Restated Rights Agreement dated as of August 26, 1995, between the Company and First National Bank of Boston, as Rights Agent. The Rights Agreement includes as Exhibit A, the form of Right Certificate and as Exhibit B, the summary of transactions of Rights.(2) 10.1 Form of Retention and Non-Competition Agreement(3) 10.2 Form of KLA-Tencor Corporation Corporate Officers Retention Plan(3) 10.3 Form of Indemnification Agreement 10.4 1990 Outside Directors Stock Option Plan(4) 10.5 Second Amended and Restated 1981 Employee Stock Purchase Plan, as amended on November 18, 1996(5) 10.6 1982 Stock Option Plan, as amended on November 18, 1996(6) 10.7 Tencor Instruments Second Amended and Restated 1984 Stock Option Plan (7) 10.8 Tencor Instruments Amended and Restated 1993 Equity Incentive Plan (7) 10.9 Tencor Instruments 1993 Nonemployee Directors Stock Option Plan(7) 10.10 1983 Employee Incentive Stock Option Plan of Prometrix Corporation (7) 10.11 1993 Employee Incentive Stock Option Plan of Prometrix Corporation (7) 10.12 Lease Agreement, Ground Lease Agreement and Purchase Agreement dated June 5, 1995, between BNP Leasing Corporation and the Company(8) 10.13 Lease Agreement and Purchase Agreement dated August 10, 1995, between BNP Leasing Corporation and the Company(8) 10.14 Phase IIA and Phase IIB Leases for the three years ended June 30, 1996 .......................... 14 Consolidated Balance Sheet at June 30, 1995 and 1996 .................................................. 15 Consolidated Statement of Stockholders' Equity for the three years ended June 30, 1996 ............... 16 Consolidated Statement of Cash Flows for the three years ended June 30, 1996 .......................... 17 NotesMilpitas Facilities dated December 29, 1995(9) 13.1 1997 Annual Report to Stockholders (deemed to be filed except to the Consolidated Financial Statements ........................................................ 18-23 Reportextent that the information is specifically incorporated by reference) 21.1 List of Subsidiaries of KLA-Tencor Corporation
19 23.1 Consent of Independent Accountants ..................................................................... 24
*Incorporated by reference from the indicated pages of the 1996 Annual Report to Stockholders. INDEX TO FINANCIAL STATEMENT SCHEDULES Financial Statement Schedules not included in this Form 10-K Annual Report have been omitted because they are not applicable or the required information is shown in the consolidated financial statements or notes thereto. 14 15 INDEX TO EXHIBITS (i) EXHIBITS INCORPORATED BY REFERENCE: 3.1 Certificate of Incorporation as amended(7) 3.2 Bylaws, as amended(7) 4.1 Amended and Restated Rights Agreement dated as of August 26, 1995, between the Company and First National Bank of Boston, as Rights Agent. The Rights Agreement includes as Exhibit A, the form of Right Certificate and as Exhibit B, the summary of transactions of Rights.(1) 10.15 Statement of Partnership to Triangle Partners dated April 12, 1983(2) 10.16 Lease Agreement and Addendum thereto dated January 10, 1983, between BB&K Partnership and the Company(2) 10.18 Purchase and Sale Agreement dated January 10, 1983, between BB&K Partnership, Triangle Partners and the Company(2) 10.35 Research and Development Agreement, Cross License and Technology Transfer Agreement and Agreement for Option to License and Purchase Resulting Technology, all dated October 1, 1986, by and between KLA Development No. 4, Ltd., and the Company(3) 10.45 Distribution Agreement dated July 1990, by and between Tokyo Electron Limited, a Japanese Corporation, and the Company(4) 10.46 Principal facility Purchase Agreement dated July 1990, including all exhibits and amendments; Lease Agreement, Termination of Lease, Lot line adjustment, rights of first refusal, Deeds of Trust(4) 10.47 Joint Venture Agreement between the Company and Nippon Mining Company, Limited, dated September 18, 1990(5) 10.49 Exercise of Option to Purchase Technology made effective as of January 1, 1990, by and between KLA Development No. 4, and the Company(5) 10.54 Micrion Corporation Series E Preferred Stock Purchase Agreement, dated September 13, 1991(6) 10.67 Amendment of Credit Agreement between Bank of America NT & SA and the Company, dated April 30, 1994(9) 10.68 Credit Agreement between Bank of America NT & SA and the Company as amended, on February 7, 1996(9) 10.71 1990 Outside Directors Stock Option Plan(8) 10.73 Amendment of Credit Agreement between Bank of America NT & SA and the Company dated December 31, 1994(10) 10.74 1981 Employee Stock Purchase Plan, as amended by the Board of Directors on October 7, 1994(10) 10.75 1982 Stock Option Plan, as amended on November 15, 1995(10) 10.76 Amendment of Credit Agreement between Bank of America NT & SA and the Company dated February 15, 1995(10) 10.77 Lease Agreement, Ground Lease Agreement and Purchase Agreement dated June 5, 1995, between BNP Leasing Corporation and the Company(10) 10.78 Lease Agreement and Purchase Agreement dated August 10, 1995, between BNP Leasing Corporation and the Company(10) 10.79 Amendment of Credit Agreement between Bank of America NT & SA and the Company dated December 29, 1995(11) (ii) EXHIBITS INCLUDED HEREWITH: 10.80 Mortgage Loan Supplement Program between Bank of the West and the Company dated May 8, 1996. 13.1 1996 Annual Report to Stockholders. This Annual Report shall not be deemed to be filed except to the extent that the information is specifically incorporated by reference. 21 List of Subsidiaries of KLA Instruments Corporation 23.1 Consent of Independent Accountants 2727.1 Financial Data Schedule (1)Filed as exhibit number 1 to Registrant's Form 8-A/A Amendment number 2 to Registration Statement, Previously filed, on September 24, 1996 15 16 (2)Filed aswith the same exhibit number, to the Registrant's Form 10-Q for the quarter ended March 31, 1997 (2) Previously filed as set forth hereinexhibit 1 to the Registrant's report on Form 8-A/A Amendment No. 1 to the Registration Statement on Form 8-A (filed September 24, 1996, SEC File No. 0-9992) (3) Previously filed, with the same exhibit number, to the Registrant's Registration Statement on Form S-4 (filed March 11, 1997, SEC File No. 333-23075) (4) Previously filed as exhibit 4.6 to the Registrant's Annual Report on Form 10-K for the year ended June 30, 1983 (3)Filed1997 (5) Previously filed as exhibit 10.75 to the sameRegistrant's Registration Statement on Form S-8 (filed March 7, 1997, SEC File No. 333-22939) (6) Previously filed as exhibit number10.74 to the Registrant's Registration Statement on Form S-8 (filed March 7, 1997, SEC File No. 333-22941) (7) Previously filed as set forth hereinexhibits 10.1, 10.2, 10.3, 10.6 and 10.7, respectively, to the Registrant's Registration Statement on Form 10-K for the year ended June 30, 1987 (4)Filed as the same exhibit number as set forth herein to Registrant's Form 10-K for the year ended June 30, 1990 (5)Filed as the same exhibit number as set forth herein to Registrant's Form 10-K for the year ended June 30, 1991 (6)Filed as the same exhibit number as set forth herein to Registrant's Form 10-K for the year ended June 30, 1992 (7)Filed asS-8 (filed May 8, 1997, SEC File No. 333-26681) (8) Previously filed, with the same exhibit number, to Registrant's registration statement no.33-51819 on Form S-3, dated February 2, 1994 (8)Filed as exhibit number 4.6 as set forth herein to Registrant's Form 10-K for the year ended June 30, 1991 (9)Filed as the same exhibit number as set forth herein to Registrant's Form 10-K for the year ended June 30, 1994 (10)Filed as the same exhibit number as set forth herein to Registrant's Form 10-K for the year ended June 30, 1995 (11)Filed as the same exhibit number as set forth herein to Registrant's Form 10-Q for the quarter ended December 31, 1995 16(9) Previously filed as exhibit 10.27 to Tencor Instruments Annual Report on Form 10-K for the fiscal year ended December 31, 1995